6599 - Radiant: What is the cause of the synthesis error stating: Synthesis ERROR - *: instantiating unknown module '<instance_name>'?
Description:
This is typically happens if a design that uses mixed language (Verilog/SystemVerilog + VHDL) has mismatch port widths.
Solution:
To avoid this error, make sure that both Verilog/SystemVerilog and VHDL modules has the same port widths declaration.
Example with error:
In Verilog/SystemVerilog Top module:
.i_as0_haddr ('0),
In VHDL sub-module:
i_as0_haddr : in std_logic_vector(31 downto 0);
Example without error:
In Verilog/SystemVerilog Top module:
.i_as0_haddr (32'h00000000),
In VHDL sub-module:
i_as0_haddr : in std_logic_vector(31 downto 0);
Related Articles
6780 - Lattice Radiant: How to solve the postsyn error - instantiating unknown module <module_name>?</module_name>
Description: Synthesis provides an error whenever it encounters a module from that does not exist within the design. In some case, this is encountered if a blackbox for an secured module is not present within the design location. Solution: If there ...
6538 - Reveal Inerter synthesis error:<span style="color: rgb(224, 62, 45);"> <reveal signal name> with mode 'out' cannot be read.
Description: The issue is reproducible using a simple mixed-language design (VHDL + Verilog) wherein Verilog is set as the top module. Solution: This is a bug on the software verific for Radiant 3.2 or below. This happens when VHDL instantiates the ...
7713 - Radiant Synthesis with Synplify Pro: results differ on multiple machines
Description: When using Synplify Pro on different machines, the system environment could cause different behavior. Some symptoms of this issue include the following: 1. The synthesis behavior is different on another machine (e.g. passes or fails on a ...
1204 - Simulation: Modelsim: Modelsim failed with the error message:"# ** Error: (vsim-3170) Could not find './work.StimModule_Unknown'."
Description: Modelsim will produce this error when it cannot find a design to be loaded. Error: "# ** Error: (vsim-3170) Could not find './work.StimModule_Unknown'." Workaround: To workaround this, user required to check the module name at the top ...
5871 - ERROR - <filepath filename="">(line_num): net <net_name> is constantly driven from multiple places at instance <instance_name>, on port <port_name></port_name></instance_name></net_name></filepath>. How to fix it?
The error is caused by modifying a register on two or more different processes, with the first instance found on the line mentioned by the error message (line_num). Sample Code: module sample_multiple_instance(in1, in2, out1); input in1,in2; output ...