5518 - How to instantiate differential inputs in VHDL?
For differential inputs, users can refer to the example below:
COMPONENT SB_IO IS
GENERIC(
PIN_TYPE : std_logic_vector(5 downto 0) := "000000";
IO_STANDARD: string := "SB_LVDS_INPUT"
);
PORT(
PACKAGE_PIN : in std_logic;
LATCH_INPUT_VALUE : in std_logic;
CLOCK_ENABLE : in std_logic;
INPUT_CLK : in std_logic;
OUTPUT_CLK : in std_logic;
OUTPUT_ENABLE : in std_logic;
D_OUT_0 : in std_logic;
D_OUT_1 : in std_logic;
D_IN_0 : out std_logic;
D_IN_1 : out std_logic;
):
END COMPONENT SB_IO;
Only the positive pin will need to be inserted into this primitive. When the positive pin is inserted, the negative pin will automatically be assigned by the software.
The assignment of sb_lvds is somewhat misleading in iCEcube2 when a device does not support LVDS standards (such as iCE40 UltraPlus).
In the Radiant Software, which has the Device Constraint Editor, the pin settings can be changed.
Related Articles
3862 - iCE40: How to identify true (positive) and complementary (negative) ball functions for Low Voltage Differential Signaling (LVDS) inputs in iCE40 device?
The differential signals ending with 'B' in the Pinout file represent the positive end, and the differential signals ending with 'A' represent the negative end. For example, in the Pinout file, IOL_3A and IOL_3B are differential pins (DPIO). So, ...
6161 - iCE40 UltraPlus: How utilize Differential Input pairs on an iCE40 device?
For iCEcube2 compatible devices (LP/HX/LM/Ultra/UltraLite/UltraPlus), the user needs to use the SB_IO primitive and override the IO_STANDARD parameter to SB_LVDS_INPUT as shown in the rudimentary example below: SB_IO SB_IO_inst ( ...
1741 - MachXO2: I don't see Differential 3.3v CMOS inputs (LVCMOS33D) input characteristics in the MachXO2 Data Sheet. What are its specifications?<br>
The LVCMOS33D buffer characteristics are similar to those of the LVDS33 differential input buffer, with these key differences: Vinp/Vinm = 0v min, 3.4v max, 3.3v typ Vcm = 2.6v max, 1.65v typ Fmax = 136MHzNote: The 100ohm internal termination ...
7176 - The input signals CLKP and CLKN are not differential!
The simulation will continue to run, but this may not reflect the actual circuit operation.
Please check the CLKP and CLKN inputs, and rerun the simulation if necessary.
Description: User will encounter the error messages during simulation using VCS tool. This is a typical response message from VCS simulator when a pair of differential clocks is fed to a DUT in a testbench. For example, the message will be seen when ...
4773 - MachXO3: Does device support mixed voltage inputs?
Yes, MachXO3L/MachXO3LF devices support mixed voltage for LVCMOS and LVTTL inputs.